曙海教育集团  
上海:021-51875830 北京:010-51292078
西安:029-86699670 南京:4008699035
成都:4008699035 武汉:027-50767718
广州:4008699035 深圳:4008699035
沈阳:024-31298103 石家庄:4008699035☆
全国统一报名免费电话:4008699035 微信:shuhaipeixun或15921673576 QQ:1299983702
嵌入式OS--4G手机操作系统
嵌入式硬件设计
Altium Designer Layout高速硬件设计
开发语言/数据库/软硬件测试
芯片设计/大规模集成电路VLSI
其他类
 
   Synopsys IC Compiler培训
   班级规模及环境--热线:4008699035 手机:15921673576( 微信同号)
       坚持小班授课,为保证培训效果,增加互动环节,每期人数限3到5人。
   上课时间和地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院 【北京分部】:北京中山/福鑫大楼 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路) 【成都分部】:领馆区1号(中和大道) 【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【石家庄分部】:河北科技大学/瑞景大厦 【广州分部】:广粮大厦 【西安分部】:协同大厦
近开课时间(周末班/连续班/晚班)
Synopsys IC Compiler培训:2024年5月1日......(欢迎您垂询,视教育质量为生命!)
   实验设备
     ☆资深工程师授课

        
        ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作

        

        专注高端培训17年,曙海提供的课程得到本行业的广泛认可,学员的能力
        得到大家的认同,受到用人单位的广泛赞誉。

        ★实验设备请点击这儿查看★
   新优惠
       ◆在读学生凭学生证,可优惠500元。
   质量保障

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、课程完成后,授课老师留给学员手机和Email,保障培训效果,免费提供半年的技术支持。
        3、培训合格学员可享受免费推荐就业机会。

  Synopsys IC Compiler培训

培训方式以讲课和实验穿插进行。

IC Compiler 1?

?

Overview
The workshop starts out with a high-level introduction to IC Compiler? graphical user interface, during which you will learn about the 3 core commands place_opt, clock_opt, and route_opt, as well as the more targeted atomic commands for more specific needs.

?


You will learn the details of design and timing setup, including setting up physical and logical libraries, importing design formats and floorplans, and setting the design up for proper timing analysis.

?


The workshop goes in-depth into using IC Compiler to perform placement, power optimization, scan optimization, clock tree synthesis and routing operations, including interleaved logic optimizations. You will also learn how to perform Design-for-Manufacturing tasks in IC Compiler, including antenna fixing, via doubling, metal filling, and critical area optimization. Another unit is dedicated to the topic of the new Multi Scenario capabilities, including how to apply SDC constraint files and operating conditions and how to perform analysis and optimization in parallel. The unit will also show you the advantages of using on-chip-variation mode.

?


The class explores the new Design Planning features in IC Compiler, which support full flat floorplanning including automatic macro placement, power network synthesis and analysis, and prototype route and optimization.

?


The workshop is accompanied by comprehensive hands-on labs, which provide an opportunity to apply all concepts covered during the lectures.

?


Objectives?
At the end of this workshop the student should be able to:?
?? Read necessary files required to run IC Compiler, resolving common errors/warnings?
?? Set up timing for analysis and optimizations?
?? Perform placement and optimizations?
?? Analyze congestion maps and reports?
?? Perform power optimization?
?? Perform scan reordering using ScanDEF?
?? Set up the design for clock tree synthesis?
?? Perform clock tree synthesis and post-CTS optimizations?
?? Analyze timing and clock specifications post CTS?
?? Route the design using the core and atomic commands?
?? Describe the need for Multi-corner, Multi-Mode analysis, and optimization?
?? Specify a scenario in IC Compiler?
?? Analyze the design for SI and perform SI optimizations?
?? Perform unconstrained and freeze silicon ECOs?
?? Perform antenna fixing, via doubling, metal filling, filler cell insertion, critical area optimization?
?? Create a flat floorplan including core and IO area setup, power network synthesis and routing, timing driven macro placement?
?? Perform power network analysis and virtual pad insertion?

?

Audience Profile
ASIC, back-end,?or?layout designers with experience in standard-cell-based automatic Place and Route.

?

Prerequisites
To benefit the most from the material presented in this workshop, students should have working knowledge of Physical Design using Physical Compiler, Astro,?or?any other physical design tool.

?

Course Outline?

?

Unit 1?
?? Introduction?
?? IC Compiler Basic Flow?
?? Design Planning?

?

Unit 2?
?? Placement, Power and Test?
?? Clock Tree Synthesis?

?

Unit 3?
?? Multi Scenario Optimization?
?? Routing and Signal Integrity?
?? Chip Finishing and DFM?