曙海教育集团  
上海:021-51875830 北京:010-51292078
西安:029-86699670 南京:4008699035
成都:4008699035 武汉:027-50767718
广州:4008699035 深圳:4008699035
沈阳:024-31298103 石家庄:4008699035☆
全国统一报名免费电话:4008699035 微信:shuhaipeixun或15921673576 QQ:1299983702
嵌入式OS--4G手机操作系统
嵌入式硬件设计
Altium Designer Layout高速硬件设计
开发语言/数据库/软硬件测试
芯片设计/大规模集成电路VLSI
其他类
 
  Encounter Digital Implementation (Hierarchical)培训
   班级规模及环境--热线:4008699035 手机:15921673576( 微信同号)
       坚持小班授课,为保证培训效果,增加互动环节,每期人数限3到5人。
   上课时间和地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院 【北京分部】:北京中山/福鑫大楼 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路) 【成都分部】:领馆区1号(中和大道) 【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【石家庄分部】:河北科技大学/瑞景大厦 【广州分部】:广粮大厦 【西安分部】:协同大厦
近开课时间(周末班/连续班/晚班)
Encounter Digital Implementation (Hierarchical)培训:2024年3月25日......(欢迎您垂询,视教育质量为生命!)
   实验设备
     ☆资深工程师授课

        
        ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作

        

        专注高端培训17年,曙海提供的课程得到本行业的广泛认可,学员的能力
        得到大家的认同,受到用人单位的广泛赞誉。

        ★实验设备请点击这儿查看★
   新优惠
       ◆在读学生凭学生证,可优惠500元。
   质量保障

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、课程完成后,授课老师留给学员手机和Email,保障培训效果,免费提供半年的技术支持。
        3、培训合格学员可享受免费推荐就业机会。

  Encounter Digital Implementation (Hierarchical)培训


Course Description

In this course, you explore the features of the Encounter? Digital Implementation system for creating and implementing a hierarchical design. You learn several techniques to floorplan your design, create partitions (hierarchical blocks), run place and route, and optimize the design (at the block level and top level) to close timing. You learn techniques to reduce the memory-size and run time by using interface logic models (ILMs), reduce design cycles by using the Post-Assembly Closure (PAC) flow and learn how to save development time by generating and using Foundation Flow scripts.

This course was formerly called Floorplanning, Physical Synthesis, Place and Route (Hierarchical).

Learning Objectives

After completing this course, you will be able to:

  • Floorplan and create partitions for your design using the Encounter Digital Implementation system
  • Implement the blocks and the top-level design using various methods after analyzing the benefits and drawbacks of each method.
  • Generate and run customized scripts (foundation flow scripts) that contain the recommended commands and options to implement your design.

Course Agenda

Note that this course can be tailored to better meet your needs –?contact the Cadence training staff?for specifics.

 

  • Overview
  • Partitioning the design
  • Creating and using bus guides
  • Running automatic floorplan synthesis to generate an initial floorplan
  • Timing closure with iLMs
  • Post-Assembly Closure (PAC) flow
  • Automating the implementation with foundation flow scripts